이름 모듈 소개
웹페이지 Splošne informacije - General info
URL Discord Hardware FRI - skupnost za HW predmete
파일 Obveznosti študentov

Obveznosti študentov

URL RAWall - Padlet komunikacijski kanal za predavanja

Tukaj lahko podebatiramo med predavanji, lahko pa nam (tudi anonimno) zaupate svoja mnenja, težave, ideje, predloge in vse ostalo....

웹페이지 Izpitni roki pravila - Exams Rules

파일 Izpit 24.8.2015
파일 Izpit 27.1.2016
파일 Izpit 11.2.2016
파일 Izpit 25.1.2017
파일 Exam 25.1.2017
파일 RA-1 Uvod
파일 RA-1 Introduction
파일 Pomen poznavanja računalniške arhitekture, Miha_Krajnc
파일 RA-2 Razvoj strojev za računanje
파일 RA-2 Evolution of computing machines
파일 RA-3 Osnove delovanja računalnikov
파일 RA-3 Basic principles of Computing
파일 RAM_pomnilnik_demo_EVO.circ

Preprost model pomnilnika v okolju Logisim EVO

파일 RA-4 Ukazi
파일 RA-4 Instructions
파일 RA-5 Operandi
파일 RA-5 Operands
파일 4-bitni seštevalnik - Logisim Evolution
파일 RA-6 Centralna procesna enota
파일 RA-6 Central Processing Unit
URL MiniMiMo Trdoožičen model CPE v Logisimu

Povezava do vezja in gradiv na Github repozitoriju za RA predmet.

URL Primeri in testna vezja v Logisimu za RA

Vezja so narejena v simulacijskem okolju Logisim-Evolution in uporabljena pri predavanjih.

파일 RA-7 Merjenje zmogljivosti CPE
파일 RA-7 Measuring CPU performance
URL Posnetek predavanja pogl. 7

Vsebina je obvezni del učne snovi.

파일 RA-8 Pomnilniške tehnologije
파일 RA-8 Memory Technologies
파일 Asociativni pomnilnik - Logisim
파일 RA-9 Pomnilniška hierarhija
파일 RA-9 Memory hierarchy
파일 Asociativni predpomnilnik - Logisim
파일 Navidezni pomnilnik - Logisim
URL Computer Architecture - Crowd-sourced Shared Notes

You are welcome to add, edit, update the notes. 

We're creating Crowd-sourcing notes, feel free to contribute.

URL Računalniška Arhitektura - Deljeni zapiski za skupno dopolnjevanje

Dobrodošli z vašimi dodatki, popravki, nadgradnjami teh zapiskov.

Naredili smo jih zato, da jih sami oblikujete - vsak prispevek je dragocen in dobrodošel.

Orodja za programiranje v zbirniku 파일 Seznam ukazov zbirnika ARM - Instruction Set Summary
웹페이지 Instalacija orodja WinIdea - Windows (Installation of WinIdea)
파일 Začetni projekt za winIDEA (simulator) - Initial project

Povezava

웹페이지 Instalacija orodja WinIdea - Linux (Installation of WinIdea)
파일 WinIdea: Software User Guide
URL URL na online Help za WinIdea

http://www.isystem.com/downloads/winIDEA/help/

10월9일 - 10월15일 파일 Vaja 1 - Uvod
파일 1st Lab Session - Introduction
URL Zgled v Pythonu/Python example
10월16일 - 10월22일 파일 Programiranje v zbirniku 1.del

Programiranje v zbirniku 1.del

파일 ARM Assembly programming Part 1

ARM Assembly programming Part 1

10월23일 - 10월29일 파일 Programiranje v zbirniku 2.del
파일 ARM Assembly programming Part 2
10월30일 - 11월5일 웹페이지 PWM signal: melodija na STM32H7

파일 RA_STM32H7_LED_PWM_Arduino_IDE_Projekt
파일 RA_STM32H7_LED_PWM_Arduino_IDE_Projekt_ENG
11월13일 - 11월19일 파일 Programiranje v zbirniku 3.del

Programiranje v zbirniku 3.del

11월20일 - 11월26일 웹페이지 Prvo preverjanje - Opis/First test - description

Prvo preverjanje - opis

파일 Programiranje v zbirniku 4. del

rab-2_v5_S4.pdf

파일 ARM Assembly programming Part 4

rab-2_v5_S4.pdf

URL Interpretacija zastavice Carry (prenos) pri seštevanju in odštevanju
11월27일 - 12월3일 파일 Programiranje v zbirniku 5. del

rab-2_v2_S5_S12.pdf

12월4일 - 12월10일 파일 Programiranje v zbirniku 3.-6. del

rab-2_v6.pdf

파일 ARM Assembly programming Parts 3-6

rab-2_v6_ENG

12월11일 - 12월17일 웹페이지 Drugo preverjanje / Second lab-test
1월1일 - 1월7일 파일 RA_STM32H7_Projekt.pdf
파일 stm32h7-asm_RA_LED.zip (CubeIDE projekt)
URL https://github.com/LAPSyLAB/RALab-STM32H7
1월8일 - 1월14일 웹페이지 Tretje preverjanje / Third lab-test

Tretje preverjanje

Domače naloge 파일 Domača naloga - navodila
파일 Homework Assignment - Description
Avditorne vaje 파일 Avditorne naloge
파일 Solved problems
파일 Dodatne avditorne naloge Prenosi
파일 Additional solved problems - Transfers
파일 Dodatna naloga - Pomn. Hierarhija
파일 Dodatna naloga Amdahlov zakon
English corner URL Computer Architecture - Crowd-sourced Shared Notes

You are welcome to add, edit, update the notes. 

We're creating Crowd-sourcing notes, feel free to contribute.

Za tiste, ki jih zanima več o ARM-u URL Izbirni predmet Organizacija računalnikov

Pomeni nadgradnjo tega predmeta. Podrobneje se spoznamo z zbirnim jezikom in C programskim jezikom ob programiranju dejanskega vgrajenega sistema FRI-SMS

파일 Nekaj vhodno izhodnih naprav - 1. del

Nekaj vhodno izhodnih naprav

웹페이지 Študentski prispevek - delo z GNU orodji na procesorjih ARM