седмица Име Опис
Page Splošne informacije - General info
URL Discord Hardware FRI - skupnost za HW predmete
Датотека Obveznosti študentov

Obveznosti študentov

URL RAWall - Padlet komunikacijski kanal za predavanja

Tukaj lahko podebatiramo med predavanji, lahko pa nam (tudi anonimno) zaupate svoja mnenja, težave, ideje, predloge in vse ostalo....

Page Izpitni roki pravila - Exams Rules

Датотека Izpit 24.8.2015
Датотека Izpit 27.1.2016
Датотека Izpit 11.2.2016
Датотека Izpit 25.1.2017
Датотека Exam 25.1.2017
Датотека RA-1 Uvod
Датотека RA-1 Introduction
Датотека Pomen poznavanja računalniške arhitekture, Miha_Krajnc
Датотека RA-2 Razvoj strojev za računanje
Датотека RA-2 Evolution of computing machines
Датотека RA-3 Osnove delovanja računalnikov
Датотека RA-3 Basic principles of Computing
Датотека RAM_pomnilnik_demo_EVO.circ

Preprost model pomnilnika v okolju Logisim EVO

Датотека RA-4 Ukazi
Датотека RA-4 Instructions
Датотека RA-5 Operandi
Датотека RA-5 Operands
Датотека 4-bitni seštevalnik - Logisim Evolution
Датотека RA-6 Centralna procesna enota
Датотека RA-6 Central Processing Unit
URL MiniMiMo Trdoožičen model CPE v Logisimu

Povezava do vezja in gradiv na Github repozitoriju za RA predmet.

URL Primeri in testna vezja v Logisimu za RA

Vezja so narejena v simulacijskem okolju Logisim-Evolution in uporabljena pri predavanjih.

Датотека RA-7 Merjenje zmogljivosti CPE
Датотека RA-7 Measuring CPU performance
URL Posnetek predavanja pogl. 7

Vsebina je obvezni del učne snovi.

Датотека RA-8 Pomnilniške tehnologije
Датотека RA-8 Memory Technologies
Датотека Asociativni pomnilnik - Logisim
Датотека RA-9 Pomnilniška hierarhija
Датотека RA-9 Memory hierarchy
Датотека Asociativni predpomnilnik - Logisim
Датотека Navidezni pomnilnik - Logisim
URL Computer Architecture - Crowd-sourced Shared Notes

You are welcome to add, edit, update the notes. 

We're creating Crowd-sourcing notes, feel free to contribute.

URL Računalniška Arhitektura - Deljeni zapiski za skupno dopolnjevanje

Dobrodošli z vašimi dodatki, popravki, nadgradnjami teh zapiskov.

Naredili smo jih zato, da jih sami oblikujete - vsak prispevek je dragocen in dobrodošel.

Orodja za programiranje v zbirniku Датотека Seznam ukazov zbirnika ARM - Instruction Set Summary
Page Instalacija orodja WinIdea - Windows (Installation of WinIdea)
Датотека Začetni projekt za winIDEA (simulator) - Initial project

Povezava

Page Instalacija orodja WinIdea - Linux (Installation of WinIdea)
Датотека WinIdea: Software User Guide
URL URL na online Help za WinIdea

http://www.isystem.com/downloads/winIDEA/help/

9 октомври - 15 октомври Датотека Vaja 1 - Uvod
Датотека 1st Lab Session - Introduction
URL Zgled v Pythonu/Python example
16 октомври - 22 октомври Датотека Programiranje v zbirniku 1.del

Programiranje v zbirniku 1.del

Датотека ARM Assembly programming Part 1

ARM Assembly programming Part 1

23 октомври - 29 октомври Датотека Programiranje v zbirniku 2.del
Датотека ARM Assembly programming Part 2
30 октомври - 5 ноември Page PWM signal: melodija na STM32H7

Датотека RA_STM32H7_LED_PWM_Arduino_IDE_Projekt
Датотека RA_STM32H7_LED_PWM_Arduino_IDE_Projekt_ENG
13 ноември - 19 ноември Датотека Programiranje v zbirniku 3.del

Programiranje v zbirniku 3.del

20 ноември - 26 ноември Page Prvo preverjanje - Opis/First test - description

Prvo preverjanje - opis

Датотека Programiranje v zbirniku 4. del

rab-2_v5_S4.pdf

Датотека ARM Assembly programming Part 4

rab-2_v5_S4.pdf

URL Interpretacija zastavice Carry (prenos) pri seštevanju in odštevanju
27 ноември - 3 декември Датотека Programiranje v zbirniku 5. del

rab-2_v2_S5_S12.pdf

4 декември - 10 декември Датотека Programiranje v zbirniku 3.-6. del

rab-2_v6.pdf

Датотека ARM Assembly programming Parts 3-6

rab-2_v6_ENG

11 декември - 17 декември Page Drugo preverjanje / Second lab-test
1 јануари - 7 јануари Датотека RA_STM32H7_Projekt.pdf
Датотека stm32h7-asm_RA_LED.zip (CubeIDE projekt)
URL https://github.com/LAPSyLAB/RALab-STM32H7
8 јануари - 14 јануари Page Tretje preverjanje / Third lab-test

Tretje preverjanje

Domače naloge Датотека Domača naloga - navodila
Датотека Homework Assignment - Description
Avditorne vaje Датотека Avditorne naloge
Датотека Solved problems
Датотека Dodatne avditorne naloge Prenosi
Датотека Additional solved problems - Transfers
Датотека Dodatna naloga - Pomn. Hierarhija
Датотека Dodatna naloga Amdahlov zakon
English corner URL Computer Architecture - Crowd-sourced Shared Notes

You are welcome to add, edit, update the notes. 

We're creating Crowd-sourcing notes, feel free to contribute.

Za tiste, ki jih zanima več o ARM-u URL Izbirni predmet Organizacija računalnikov

Pomeni nadgradnjo tega predmeta. Podrobneje se spoznamo z zbirnim jezikom in C programskim jezikom ob programiranju dejanskega vgrajenega sistema FRI-SMS

Датотека Nekaj vhodno izhodnih naprav - 1. del

Nekaj vhodno izhodnih naprav

Page Študentski prispevek - delo z GNU orodji na procesorjih ARM