Неделя Название Описание
Страница Splošne informacije - General info
Гиперссылка Discord Hardware FRI - skupnost za HW predmete
Файл Obveznosti študentov

Obveznosti študentov

Гиперссылка RAWall - Padlet komunikacijski kanal za predavanja

Tukaj lahko podebatiramo med predavanji, lahko pa nam (tudi anonimno) zaupate svoja mnenja, težave, ideje, predloge in vse ostalo....

Страница Izpitni roki pravila - Exams Rules

Файл Izpit 24.8.2015
Файл Izpit 27.1.2016
Файл Izpit 11.2.2016
Файл Izpit 25.1.2017
Файл Exam 25.1.2017
Файл RA-1 Uvod
Файл RA-1 Introduction
Файл Pomen poznavanja računalniške arhitekture, Miha_Krajnc
Файл RA-2 Razvoj strojev za računanje
Файл RA-2 Evolution of computing machines
Файл RA-3 Osnove delovanja računalnikov
Файл RA-3 Basic principles of Computing
Файл RAM_pomnilnik_demo_EVO.circ

Preprost model pomnilnika v okolju Logisim EVO

Файл RA-4 Ukazi
Файл RA-4 Instructions
Файл RA-5 Operandi
Файл RA-5 Operands
Файл 4-bitni seštevalnik - Logisim Evolution
Файл RA-6 Centralna procesna enota
Файл RA-6 Central Processing Unit
Гиперссылка MiniMiMo Trdoožičen model CPE v Logisimu

Povezava do vezja in gradiv na Github repozitoriju za RA predmet.

Гиперссылка Primeri in testna vezja v Logisimu za RA

Vezja so narejena v simulacijskem okolju Logisim-Evolution in uporabljena pri predavanjih.

Файл RA-7 Merjenje zmogljivosti CPE
Файл RA-7 Measuring CPU performance
Гиперссылка Posnetek predavanja pogl. 7

Vsebina je obvezni del učne snovi.

Файл RA-8 Pomnilniške tehnologije
Файл RA-8 Memory Technologies
Файл Asociativni pomnilnik - Logisim
Файл RA-9 Pomnilniška hierarhija
Файл RA-9 Memory hierarchy
Файл Asociativni predpomnilnik - Logisim
Файл Navidezni pomnilnik - Logisim
Гиперссылка Computer Architecture - Crowd-sourced Shared Notes

You are welcome to add, edit, update the notes. 

We're creating Crowd-sourcing notes, feel free to contribute.

Гиперссылка Računalniška Arhitektura - Deljeni zapiski za skupno dopolnjevanje

Dobrodošli z vašimi dodatki, popravki, nadgradnjami teh zapiskov.

Naredili smo jih zato, da jih sami oblikujete - vsak prispevek je dragocen in dobrodošel.

Orodja za programiranje v zbirniku Файл Seznam ukazov zbirnika ARM - Instruction Set Summary
Страница Instalacija orodja WinIdea - Windows (Installation of WinIdea)
Файл Začetni projekt za winIDEA (simulator) - Initial project

Povezava

Страница Instalacija orodja WinIdea - Linux (Installation of WinIdea)
Файл WinIdea: Software User Guide
Гиперссылка URL na online Help za WinIdea

http://www.isystem.com/downloads/winIDEA/help/

9 октября - 15 октября Файл Vaja 1 - Uvod
Файл 1st Lab Session - Introduction
Гиперссылка Zgled v Pythonu/Python example
16 октября - 22 октября Файл Programiranje v zbirniku 1.del

Programiranje v zbirniku 1.del

Файл ARM Assembly programming Part 1

ARM Assembly programming Part 1

23 октября - 29 октября Файл Programiranje v zbirniku 2.del
Файл ARM Assembly programming Part 2
30 октября - 5 ноября Страница PWM signal: melodija na STM32H7

Файл RA_STM32H7_LED_PWM_Arduino_IDE_Projekt
Файл RA_STM32H7_LED_PWM_Arduino_IDE_Projekt_ENG
13 ноября - 19 ноября Файл Programiranje v zbirniku 3.del

Programiranje v zbirniku 3.del

20 ноября - 26 ноября Страница Prvo preverjanje - Opis/First test - description

Prvo preverjanje - opis

Файл Programiranje v zbirniku 4. del

rab-2_v5_S4.pdf

Файл ARM Assembly programming Part 4

rab-2_v5_S4.pdf

Гиперссылка Interpretacija zastavice Carry (prenos) pri seštevanju in odštevanju
27 ноября - 3 декабря Файл Programiranje v zbirniku 5. del

rab-2_v2_S5_S12.pdf

4 декабря - 10 декабря Файл Programiranje v zbirniku 3.-6. del

rab-2_v6.pdf

Файл ARM Assembly programming Parts 3-6

rab-2_v6_ENG

11 декабря - 17 декабря Страница Drugo preverjanje / Second lab-test
1 января - 7 января Файл RA_STM32H7_Projekt.pdf
Файл stm32h7-asm_RA_LED.zip (CubeIDE projekt)
Гиперссылка https://github.com/LAPSyLAB/RALab-STM32H7
8 января - 14 января Страница Tretje preverjanje / Third lab-test

Tretje preverjanje

Domače naloge Файл Domača naloga - navodila
Файл Homework Assignment - Description
Avditorne vaje Файл Avditorne naloge
Файл Solved problems
Файл Dodatne avditorne naloge Prenosi
Файл Additional solved problems - Transfers
Файл Dodatna naloga - Pomn. Hierarhija
Файл Dodatna naloga Amdahlov zakon
English corner Гиперссылка Computer Architecture - Crowd-sourced Shared Notes

You are welcome to add, edit, update the notes. 

We're creating Crowd-sourcing notes, feel free to contribute.

Za tiste, ki jih zanima več o ARM-u Гиперссылка Izbirni predmet Organizacija računalnikov

Pomeni nadgradnjo tega predmeta. Podrobneje se spoznamo z zbirnim jezikom in C programskim jezikom ob programiranju dejanskega vgrajenega sistema FRI-SMS

Файл Nekaj vhodno izhodnih naprav - 1. del

Nekaj vhodno izhodnih naprav

Страница Študentski prispevek - delo z GNU orodji na procesorjih ARM